CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - DDR SDRAM

搜索资源列表

  1. DDRSDRAM

    0下载:
  2. DDR SDRAM的资料,有兴趣的朋友可以下下来-DDR SDRAM information, interested to see friends down under
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:73586
    • 提供者:sy
  1. DDRSDRAM

    1下载:
  2. DDR SDRAM的veilog hdl程序,经过验证 效果不错-DDR SDRAM' s veilog hdl procedures, good results verified
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:475618
    • 提供者:寒心雪林
  1. DDRSDRAM

    1下载:
  2. DDR SDRAM设计及调试经验总结.pdf
  3. 所属分类:Editor

    • 发布日期:2017-04-02
    • 文件大小:338858
    • 提供者:arens09
  1. sdram32

    0下载:
  2. DDR SDRAM source verilog source codes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:25220
    • 提供者:sachin
  1. c_xapp851

    0下载:
  2. 这是xilinx应用指南xapp851的中文版本。本应用指南描述了在 Virtex™ -5 器件中实现的 200 MHz DDR SDRAM (JEDEC DDR400 (PC3200) 标准)控制器。本设计实现使用 IDELAY 单元调整读数据时序。读数据时序校准和调整在此控制器中完成。-This is the xilinx application note xapp851 the Chinese version. This application note describes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:408310
    • 提供者:陈阳
  1. ddr-sdram--chengxu

    2下载:
  2. ddr的控制程序,实用Verilog语言实现的非常的具体,非常无奈过的实用。-ddr
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-07
    • 文件大小:13916
    • 提供者:张杰
  1. ddr_sdram_controller

    0下载:
  2. DDR SDRAM Controller design
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-09
    • 文件大小:2400010
    • 提供者:Jerry
  1. HY5DU121622CFP

    0下载:
  2. 64MB 512Mb, 16bit, DDR SDRAM MEMORY
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-09
    • 文件大小:1558777
    • 提供者:lzch
  1. SDRAM

    0下载:
  2. 连接Nios II 和SDRAM的系统设计,DDR SDRAM设计及调试经验总结,MT48LC16M16资料。-failed to translate
  3. 所属分类:Project Design

    • 发布日期:2017-05-08
    • 文件大小:1903112
    • 提供者:luyi
  1. DDRdesigen.pdf

    0下载:
  2. DDR SDRAM设计及调试经验总结.pdf-DDR SDRAM design and debug Experience. Pdf
  3. 所属分类:Communication

    • 发布日期:2017-04-05
    • 文件大小:338814
    • 提供者:Mike
  1. DDR SDRAM Design Tutorials

    2下载:
  2. Altera公司的基于NIOSII设计DDR和DDR2内存的资料,很有帮助的,-Based on Altera' s DDR and DDR2 memory NIOSII design information, useful,
  3. 所属分类:VHDL编程

    • 发布日期:2013-01-18
    • 文件大小:3155168
    • 提供者:iyoung
  1. ref-ddr-sdram-verilog

    0下载:
  2. ddr_sdram开发参考verilog建模-ddr_sdram with verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:753944
    • 提供者:pengyong
  1. ddr_sdr_V1_1

    0下载:
  2. its the vhdl stuff for ddr sdram controller nice one easily understandable-its the vhdl stuff for ddr sdram controller nice one easily understandable
  3. 所属分类:Project Design

    • 发布日期:2017-04-04
    • 文件大小:37783
    • 提供者:james
  1. DDR-SDRAM

    0下载:
  2. 本应用指南描述了在 Virtex™ -4 XC4VLX25 FF668 -10C 器件中实现的 DDR SDRAM 控制器。该实现运用了直接时钟控制技术来实现数据采集,并采用自动校准电路来调整数据线上的延迟。-This application note describes a Virtex ™ -4 XC4VLX25 FF668-10C to implement the DDR SDRAM device controller. The clock control to ach
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:54183
    • 提供者:syf
  1. FPGA-SDRAM-control-code

    0下载:
  2. 该程序是FPGA控制DDR SRAM的控制源代码,使得SDRAM的控制变得简单。-This program is DDR SDRAM control code ,it makes the operation of SDRAM more easy.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:41893
    • 提供者:didi
  1. model

    0下载:
  2. 用vhdl写的 ddr sdram 控制器,数据位可以修改。在quartus2下仿真通过-With written ddr sdram controller vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:7322
    • 提供者:momowang
  1. DDR-SDRAM

    0下载:
  2. DDR SDRAM的设计,包括DDR SDRAM控制器,以及Modelsim仿真-The design of DDR SDRAM, DDR SDRAM controller, and Modelsim simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:897225
    • 提供者:xinghe
  1. DDR-SDRAM

    0下载:
  2. ddr sdram 控制器的源代码,内有vhdl和verilog。-DDR SDRAM controller
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-28
    • 文件大小:902765
    • 提供者:何海山
  1. DDR+SDRAM控制器verilog代码及中文说明文档

    1下载:
  2. DDR SDRAM控制器代码,不可多得的源代码。内附详细说明文档。
  3. 所属分类:磁盘编程

  1. DDR-SDRAM-controller-verilog-code

    0下载:
  2. DDR SDRAM控制器verilog代码及中文说明文档-DDR SDRAM controller verilog code and documentation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-10-31
    • 文件大小:488664
    • 提供者:一样
« 1 23 4 5 6 7 8 »
搜珍网 www.dssz.com